Verilog定义计算位宽的函数clogb2

时间:2023-03-09 19:45:37
Verilog定义计算位宽的函数clogb2

在很多情况下要计算输入输出的位宽,比如你写一个8*8的ram,那么地址需要三位去表示,那么这个函数的方便就体现出来了,你需要使用函数定义就好了,如果对于多文件可以包含定义的文件:

如果你的DEPTH是2^n次的话,可以用下面的function,否则要是像DEPTH为7的话,修改for循环中的depth>0。(个人仿真得出,不然都用depth>0的话,DEPTH为8的话,算出来的位宽是4位,而实际上我们只需要三位表示最大值7);

Verilog定义计算位宽的函数clogb2

以上。