VSIM生成fsdb波形文件(VERILOG)

时间:2023-03-09 16:00:00
VSIM生成fsdb波形文件(VERILOG)

VSIM生成fsdb波形文件(verilog)

两步主要的设置

testbench加入函数

运行库调用

1、testbench加入函数

1 initial
2 begin
3 $fsdbDumpfile("test.fsdb");
4 $fsdbDumpvars;
5 end

2、运行库调用

运行环境设置.bashrc

设置

LD_LIBRARY_PATH=${LD_LIBRARY_PATH}:${NOVAS_INST_DIR}/share/PLI/lib/${PLATFORM} 

如我的设置为

LD_LIBRARY_PATH=${LD_LIBRARY_PATH}:/EDA/Synopsys/verdi/vJ-2014.12-SP2/share/PLI/lib/LINUX64/

代码实例[sim.do]

 1 #create work library
2 vlib work
3 vmap work work
4
5 #compile
6 vlog design.v
7 vlog tb.v
8
9 #simulate
10 vsim -voptargs="+acc" +notimingchecks -t 1ps -pli /EDA/Synopsys/verdi/vJ-2014.12-SP2/share/PLI/MODELSIM/LINUX64/novas_fli.so tb
11
12 run 100us
13
14 q

运行产生fsdb

vsim -c -do sim.do

参考文献

[1](笔记)vcs和verdi的联合仿真

[2][转帖]ModelSim+Debussy仿真(Verilog)