vsim仿真VHDL输出fsdb格式文件

时间:2023-03-09 07:10:01
vsim仿真VHDL输出fsdb格式文件

vsim(modelsim)仿真VHDL输出fsdb格式文件

1、Dump准备

(1) 将下列设置放到顶层testbench tb.vhd文件中[注意放置的位置:关系如图]

library novas;

use novas.pkg.all;

process

begin

fsdbDumpfile("counter.fsdb");

fsdbDumpvars(0, "counter_tb");

wait;

end process;

--0表示dump的模块层,“counter_tb”表示第0层模块名

vsim仿真VHDL输出fsdb格式文件

并不相连,编译使用不同的[vlib work]

vsim仿真VHDL输出fsdb格式文件

(2) 修改modelsim.ini文件

vsim仿真VHDL输出fsdb格式文件

添加 Veriuser = novas_fli.so

2、vsim 的do文件,注意高亮部分

请注意 novas.vhd 和 noavas_fil.so这两个文件,去novas(Verdi应该也行)安装下找对应的modelsim接口

vlib novas

vmap novas novas

vcom -work novas /opt/EAD/Novas_v2012_01/share/PLI/modelsim_fli64/LINUX64/novas.vhd

vlib work

vmap work  work

vcom mydesign.vhd

vcom -93 ram.vhd

vcom mydesign_io.vhd

vcom -93 tb.vhd

vsim -voptargs="+acc" +notimingchecks -t 1ps -pli /opt/EAD/Novas_v2012_01/share/PLI/modelsim_fli64/LINUX64/novas_fli.so  tb

run 200us

q

 vlib novas

 vmap novas novas

 vcom -work novas /opt/EAD/Novas_v2012_01/share/PLI/modelsim_fli64/LINUX64/novas.vhd

 vlib work

 vmap work work

 vcom mydesign.vhd

 vcom - ram.vhd

 vcom mydesign_io.vhd

 vcom - tb.vhd

 vsim -voptargs="+acc" +notimingchecks -t 1ps -pli /opt/EAD/Novas_v2012_01/share/PLI/modelsim_fli64/LINUX64/novas_fli.so tb

 run 200us

 q

sim.do

命令行执行  vsim -c -do sim.do

3、运行结果

当前目录下有tb.fsdb文件,大功告成!

4、verdi打开VHDL波形查看

  verdi -vhdl -f file.f &

5、参考文献

http://www.eefocus.com/spencer/blog/14-03/302472_d69a9.html

http://www.cnblogs.com/oomusou/archive/2011/02/05/debussy_modelsim.html