14.quartus联合modelsim仿真

时间:2023-03-09 00:12:11
14.quartus联合modelsim仿真

在quartus调用modelsim仿真过程中,出现了一个错误,如下所示:

Check the NativeLink log file I:/Quartus11.0/Myproject/testi_nativelink_simulation.rpt for detailed error message

如果modelsim在quartus中的路径设置对的,那么就是.v程序有问题,或程序中含有中文字体没注释掉。