基于FPGA视频时序生成中的库文件

时间:2023-03-09 00:20:25
基于FPGA视频时序生成中的库文件

上一篇分享了一个视频时序生成代码,下面我根据之前项目中用到的时序,对各个参数做了库文件,方便调用。

--
-- Package File Template
--
-- Purpose: This package defines supplemental types, subtypes,
-- constants, and functions
--
-- To use any of the example code shown below, uncomment the lines and modify as necessary
-- library IEEE;
use IEEE.STD_LOGIC_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all; package timing_factor_pkg is
--------------------------------------------------------------------------------
-- Old data types retained for backwards compatibility
subtype factor_type is std_logic_vector( downto ); ----------------------1920x1200@60Hz--------------------------------
constant hsblnk_1920x1200 : factor_type :="";--
constant hssync_1920x1200 : factor_type :="";--
constant hesync_1920x1200 : factor_type :="";--
constant heblnk_1920x1200 : factor_type :="";--
constant vsblnk_1920x1200 : factor_type :="";--
constant vssync_1920x1200 : factor_type :="";--
constant vesync_1920x1200 : factor_type :="";--
constant veblnk_1920x1200 : factor_type :="";-- ----------------------*@60HZ-------------------------------
constant hsblnk_1920x1080 : factor_type :="";--
constant hssync_1920x1080 : factor_type :="";--
constant hesync_1920x1080 : factor_type :="";--
constant heblnk_1920x1080 : factor_type :="";--
constant vsblnk_1920x1080 : factor_type :="";--
constant vssync_1920x1080 : factor_type :="";--
constant vesync_1920x1080 : factor_type :="";--
constant veblnk_1920x1080 : factor_type :="";-- --------------------*@//50HZ----------------------------
constant hsblnk_1920x1080_50 : factor_type :="";--
constant hssync_1920x1080_50 : factor_type :="";--
constant hesync_1920x1080_50 : factor_type :="";--
constant heblnk_1920x1080_50 : factor_type :="";--
constant vsblnk_1920x1080_50 : factor_type :="";--
constant vssync_1920x1080_50 : factor_type :="";--
constant vesync_1920x1080_50 : factor_type :="";--
constant veblnk_1920x1080_50 : factor_type :="";-- --------------------*@60Hz I----------------------------
constant hsblnk_1920x1080_60I : factor_type :="";--
constant hssync_1920x1080_60I : factor_type :="";--
constant hesync_1920x1080_60I : factor_type :="";--
constant heblnk_1920x1080_60I : factor_type :="";--
constant vsblnk_1920x1080_60I : factor_type :="";--
constant vssync_1920x1080_60I : factor_type :="";--
constant vesync_1920x1080_60I : factor_type :="";--
constant veblnk_1920x1080_60I : factor_type :="";-- --------------------*@50Hz I----------------------------
constant hsblnk_1920x1080_50I : factor_type :="";--
constant hssync_1920x1080_50I : factor_type :="";--
constant hesync_1920x1080_50I : factor_type :="";--
constant heblnk_1920x1080_50I : factor_type :="";--
constant vsblnk_1920x1080_50I : factor_type :="";--
constant vssync_1920x1080_50I : factor_type :="";--
constant vesync_1920x1080_50I : factor_type :="";--
constant veblnk_1920x1080_50I : factor_type :="";-- --------------------*@24HZ----------------------------
constant hsblnk_1920x1080_24 : factor_type :="";--
constant hssync_1920x1080_24 : factor_type :="";--
constant hesync_1920x1080_24 : factor_type :="";--
constant heblnk_1920x1080_24 : factor_type :="";--
constant vsblnk_1920x1080_24 : factor_type :="";--
constant vssync_1920x1080_24 : factor_type :="";--
constant vesync_1920x1080_24 : factor_type :="";--
constant veblnk_1920x1080_24 : factor_type :="";-- ----------------------1600x1200@60Hz-----------------------------------
constant hsblnk_1600x1200 : factor_type :="";--
constant hssync_1600x1200 : factor_type :="";--
constant hesync_1600x1200 : factor_type :="";--
constant heblnk_1600x1200 : factor_type :="";--
constant vsblnk_1600x1200 : factor_type :="";--
constant vssync_1600x1200 : factor_type :="";--
constant vesync_1600x1200 : factor_type :="";--
constant veblnk_1600x1200 : factor_type :="";-- ----------------------1400x1050@60Hz-------------------------------
constant hsblnk_1400x1050 : factor_type :="";--
constant hssync_1400x1050 : factor_type :="";--
constant hesync_1400x1050 : factor_type :="";--
constant heblnk_1400x1050 : factor_type :="";--
constant vsblnk_1400x1050 : factor_type :="";--
constant vssync_1400x1050 : factor_type :="";--
constant vesync_1400x1050 : factor_type :="";--
constant veblnk_1400x1050 : factor_type :="";-- ----------------------1440x900@60Hz--------------------------------
constant hsblnk_1440x900 : factor_type :="";--
constant hssync_1440x900 : factor_type :="";--
constant hesync_1440x900 : factor_type :="";--
constant heblnk_1440x900 : factor_type :="";--
constant vsblnk_1440x900 : factor_type :="";--
constant vssync_1440x900 : factor_type :="";--
constant vesync_1440x900 : factor_type :="";--
constant veblnk_1440x900 : factor_type :="";-- ----------------------1440x480I@60Hz--------------------------------
constant hsblnk_1440x480I : factor_type :="";--
constant hssync_1440x480I : factor_type :="";--
constant hesync_1440x480I : factor_type :="";--
constant heblnk_1440x480I : factor_type :="";--
constant vsblnk_1440x480I : factor_type :="";--
constant vssync_1440x480I : factor_type :="";--
constant vesync_1440x480I : factor_type :="";--
constant veblnk_1440x480I : factor_type :="";-- ----------------------1440x576I@60Hz--------------------------------
constant hsblnk_1440x576I : factor_type :="";--
constant hssync_1440x576I : factor_type :="";--
constant hesync_1440x576I : factor_type :="";--
constant heblnk_1440x576I : factor_type :="";--
constant vsblnk_1440x576I : factor_type :="";--
constant vssync_1440x576I : factor_type :="";--
constant vesync_1440x576I : factor_type :="";--
constant veblnk_1440x576I : factor_type :="";-- ----------------------1280x800@60Hz----------------------------
constant hsblnk_1280x800 : factor_type :="";--
constant hssync_1280x800 : factor_type :="";--
constant hesync_1280x800 : factor_type :="";--
constant heblnk_1280x800 : factor_type :="";--
constant vsblnk_1280x800 : factor_type :="";--
constant vssync_1280x800 : factor_type :="";--
constant vesync_1280x800 : factor_type :="";--
constant veblnk_1280x800 : factor_type :="";-- ----------------------1280x800@75Hz----------------------------
constant hsblnk_1280x800_75 : factor_type :="";--
constant hssync_1280x800_75 : factor_type :="";--
constant hesync_1280x800_75 : factor_type :="";--
constant heblnk_1280x800_75 : factor_type :="";--
constant vsblnk_1280x800_75 : factor_type :="";--
constant vssync_1280x800_75 : factor_type :="";--
constant vesync_1280x800_75 : factor_type :="";--
constant veblnk_1280x800_75 : factor_type :="";-- ----------------------1280x768@60Hz----------------------------
constant hsblnk_1280x768 : factor_type :="";--
constant hssync_1280x768 : factor_type :="";--
constant hesync_1280x768 : factor_type :="";--
constant heblnk_1280x768 : factor_type :="";--
constant vsblnk_1280x768 : factor_type :="";--
constant vssync_1280x768 : factor_type :="";--
constant vesync_1280x768 : factor_type :="";--
constant veblnk_1280x768 : factor_type :="";-- ----------------------1280x768@75Hz----------------------------
constant hsblnk_1280x768_75 : factor_type :="";--
constant hssync_1280x768_75 : factor_type :="";--
constant hesync_1280x768_75 : factor_type :="";--
constant heblnk_1280x768_75 : factor_type :="";--
constant vsblnk_1280x768_75 : factor_type :="";--
constant vssync_1280x768_75 : factor_type :="";--
constant vesync_1280x768_75 : factor_type :="";--
constant veblnk_1280x768_75 : factor_type :="";-- ---------------------*@60HZ--------------------------------
constant hsblnk_1280x720 : factor_type :="";--
constant hssync_1280x720 : factor_type :="";--
constant hesync_1280x720 : factor_type :="";--
constant heblnk_1280x720 : factor_type :="";--
constant vsblnk_1280x720 : factor_type :="";--
constant vssync_1280x720 : factor_type :="";--
constant vesync_1280x720 : factor_type :="";--
constant veblnk_1280x720 : factor_type :="";-- ---------------------*@50HZ--------------------------------
constant hsblnk_1280x720_50 : factor_type :="";--
constant hssync_1280x720_50 : factor_type :="";--
constant hesync_1280x720_50 : factor_type :="";--
constant heblnk_1280x720_50 : factor_type :="";--
constant vsblnk_1280x720_50 : factor_type :="";--
constant vssync_1280x720_50 : factor_type :="";--
constant vesync_1280x720_50 : factor_type :="";--
constant veblnk_1280x720_50 : factor_type :="";-- ---------------------*@30HZ------------------------------------
constant hsblnk_1280x720_30 : factor_type :="";--
constant hssync_1280x720_30 : factor_type :="";--
constant hesync_1280x720_30 : factor_type :="";--
constant heblnk_1280x720_30 : factor_type :="";--
constant vsblnk_1280x720_30 : factor_type :="";--
constant vssync_1280x720_30 : factor_type :="";--
constant vesync_1280x720_30 : factor_type :="";--
constant veblnk_1280x720_30 : factor_type :="";-- ---------------------1280x1024@-------------------------------------
constant hsblnk_1280x1024 : factor_type :="";--
constant hssync_1280x1024 : factor_type :="";--
constant hesync_1280x1024 : factor_type :="";--
constant heblnk_1280x1024 : factor_type :="";--
constant vsblnk_1280x1024 : factor_type :="";--
constant vssync_1280x1024 : factor_type :="";--
constant vesync_1280x1024 : factor_type :="";--
constant veblnk_1280x1024 : factor_type :="";-- ---------------------1366x768@--------------------------------------
constant hsblnk_1366x768 : factor_type :="";--
constant hssync_1366x768 : factor_type :="";--
constant hesync_1366x768 : factor_type :="";--
constant heblnk_1366x768 : factor_type :="";--
constant vsblnk_1366x768 : factor_type :="";--
constant vssync_1366x768 : factor_type :="";--
constant vesync_1366x768 : factor_type :="";--
constant veblnk_1366x768 : factor_type :="";-- ---------------------1360x768@--------------------------------------
constant hsblnk_1360x768 : factor_type :="";--
constant hssync_1360x768 : factor_type :="";--
constant hesync_1360x768 : factor_type :="";--
constant heblnk_1360x768 : factor_type :="";--
constant vsblnk_1360x768 : factor_type :="";--
constant vssync_1360x768 : factor_type :="";--
constant vesync_1360x768 : factor_type :="";--
constant veblnk_1360x768 : factor_type :="";-- ---------------------*@60Hz------------------------------------
constant hsblnk_1024x768 : factor_type :="";--
constant hssync_1024x768 : factor_type :="";--
constant hesync_1024x768 : factor_type :="";--
constant heblnk_1024x768 : factor_type :="";--
constant vsblnk_1024x768 : factor_type :="";--
constant vssync_1024x768 : factor_type :="";--
constant vesync_1024x768 : factor_type :="";--
constant veblnk_1024x768 : factor_type :="";-- ---------------------*@75Hz------------------------------------
constant hsblnk_1024x768_75 : factor_type :="";--
constant hssync_1024x768_75 : factor_type :="";--
constant hesync_1024x768_75 : factor_type :="";--
constant heblnk_1024x768_75 : factor_type :="";--
constant vsblnk_1024x768_75 : factor_type :="";--
constant vssync_1024x768_75 : factor_type :="";--
constant vesync_1024x768_75 : factor_type :="";--
constant veblnk_1024x768_75 : factor_type :="";-- ---------------------*@85Hz------------------------------------
constant hsblnk_1024x768_85 : factor_type :="";--
constant hssync_1024x768_85 : factor_type :="";--
constant hesync_1024x768_85 : factor_type :="";--
constant heblnk_1024x768_85 : factor_type :="";--
constant vsblnk_1024x768_85 : factor_type :="";--
constant vssync_1024x768_85 : factor_type :="";--
constant vesync_1024x768_85 : factor_type :="";--
constant veblnk_1024x768_85 : factor_type :="";-- ---------------------*@60Hz------------------------------------
constant hsblnk_800x600 : factor_type :="";--
constant hssync_800x600 : factor_type :="";--
constant hesync_800x600 : factor_type :="";--
constant heblnk_800x600 : factor_type :="";--
constant vsblnk_800x600 : factor_type :="";--
constant vssync_800x600 : factor_type :="";--
constant vesync_800x600 : factor_type :="";--
constant veblnk_800x600 : factor_type :="";-- ---------------------*@75Hz------------------------------------
constant hsblnk_800x600_75 : factor_type :="";--
constant hssync_800x600_75 : factor_type :="";--
constant hesync_800x600_75 : factor_type :="";--
constant heblnk_800x600_75 : factor_type :="";--
constant vsblnk_800x600_75 : factor_type :="";--
constant vssync_800x600_75 : factor_type :="";--
constant vesync_800x600_75 : factor_type :="";--
constant veblnk_800x600_75 : factor_type :="";-- ---------------------*@85Hz------------------------------------
constant hsblnk_800x600_85 : factor_type :="";--
constant hssync_800x600_85 : factor_type :="";--
constant hesync_800x600_85 : factor_type :="";--
constant heblnk_800x600_85 : factor_type :="";--
constant vsblnk_800x600_85 : factor_type :="";--
constant vssync_800x600_85 : factor_type :="";--
constant vesync_800x600_85 : factor_type :="";--
constant veblnk_800x600_85 : factor_type :="";-- ---------------------720x576------------------------------------
constant hsblnk_720x576 : factor_type :="";--
constant hssync_720x576 : factor_type :="";--
constant hesync_720x576 : factor_type :="";--
constant heblnk_720x576 : factor_type :="";--
constant vsblnk_720x576 : factor_type :="";--
constant vssync_720x576 : factor_type :="";--
constant vesync_720x576 : factor_type :="";--
constant veblnk_720x576 : factor_type :="";-- ---------------------720x480------------------------------------
constant hsblnk_720x480 : factor_type :="";--
constant hssync_720x480 : factor_type :="";--
constant hesync_720x480 : factor_type :="";--
constant heblnk_720x480 : factor_type :="";--
constant vsblnk_720x480 : factor_type :="";--
constant vssync_720x480 : factor_type :="";--
constant vesync_720x480 : factor_type :="";--
constant veblnk_720x480 : factor_type :="";-- end;

相关文章